Welcome,这里是您的FREFRONT
联系FREFRONT(深圳) 免费电话: 0755-86525052

行业新闻

行业快讯:背面供电技术,半导体制程的新拐点,越来越热!

发布时间:2023-08-24 09:57 来源:www.frefront.com
返回列表

当下,随着半导体器件制造工艺的不断发展和升级,晶圆尺寸不断缩小,器件集成度不断提高,半导体的竞争可谓白热化,在这样的趋势下,如何更好地实现器件的功耗管理成为了一个关键问题。越来越多的研究者开始关注起一个新的技术方向——晶圆背面供电。与EUV光刻机类似,晶圆背面供电被视为继续开发更精细工艺节点技术的基本技术,预计将成为领先芯片晶圆厂的又一个竞争高地。

 


近日,韩国芯片巨头三星宣称要积极布局背面供电网络技术,并宣布将此导入逻辑芯片的开发蓝图。同时,英特尔、台积电等晶圆制造大厂也都在积极布局背面供电技术。那么,受到业界大厂广泛追捧的背面供电技术是什么?又将在摩尔定律中起到什么作用?


 901.jpg

 

过去,芯片制造从最小的元件——晶体管开始,然后需要建立越来越小的线路层,用于连接晶体管与金属层,随着摩尔定律的演进,晶体管越来越小,密度越来越高,堆栈层数也越来越多,可能需要穿过10-20层堆栈才能为下方的晶体管提供供电和数据信号,导致互连线和电源线共存的线路层变成了一个越来越混乱的网络。如何解决信号网络跟供电网络之间的资源排挤问题,使元件进一步微缩,变成芯片设计者所面临的主要挑战之一。对此,业界开始探索把供电网络转移到芯片背面的可能性。

 

背面供电技术将原先和晶体管一同排布的供电网络直接转移到晶体管的背面重新排布,也是晶体管三维结构上的一种创新,该技术可以在增加单位面积内晶体管密度的同时,避免晶体管和电源网络之间的信号干扰,减轻线路后端的布线拥塞并提供电源性能优势,增强芯片的可靠性。

 

总的来看,背面供电技术将带来截然不同的芯片性能提升:

 

●改善物理设计。背面供电可以大幅减少DRC违规和时序拥堵,提高芯片利用率达85%以上。

●金属线长缩减。背面供电在M1-M3层可实现30-50%的金属线长缩减,这将转化为时钟功耗降低、缓冲器数量减少和主动功耗降低。

●IR Droop效应显著下降。背面供电可以实现更低的电源网格密度,IR降从50mV下降到20mV,大幅改善芯片性能。

●系统级指标提升。线长减少和IR降低将带来时钟功耗减少15-20%、面积缩减15%的系统级优势。据称,与传统供电技术(FSPDN)相比,BSPDN的性能提高了44%,能效提高了30%。

●成本降低。通过将电源分配到背面,下面的金属层将形成一个更宽松的间距,这意味着可以减少EUV光刻次数,降低成本。


微信图片_20230825113025.png

 

代工三巨头,加码背面供电技术

 

台积电、三星、英特尔等芯片大厂都在积极布局背面供电网络技术,并宣布将导入先进逻辑芯片的开发蓝图。

 

三星背面供电技术开发计划曝光

除了率先向GAA晶体管的转型外,背面供电技术也是三星追逐先进制程的一个杀手锏。据ETNews报道,三星电子代工部门首席技术官Jung Ki-tae Jung在最近举办的论坛上宣布“我们计划在2027年将BSPDN应用于1.4nm工艺”。

三星电子相关人士表示:“采用背面供电技术的半导体的量产时间可能会根据客户的日程安排而改变。”三星电子目标是在2025年量产2nm工艺,先于1.4nm工艺。据称,三星目前正在对背面供电技术的应用进行客户需求调查。

 

英特尔先声夺人

三星并非第一家要采用背面供电技术的芯片厂商。英特尔显然也看到了背面供电技术带来的诸多好处,在背面供电技术上的推进最为积极。

英特尔将自家的背面供电技术称为PowerVia。不久前,英特尔发文介绍了PowerVia背面供电技术,该技术可帮助实现降低功耗、提升效率和性能,满足不断增长的算力需求。此外,背面供电技术也提高了设计的简易性。

在2023年VLSI研讨会上,英特尔展示了制造和测试其背面供电解决方案PowerVia的过程,并公布已经有良好性能的测试结果。据介绍,电源线原本可能占据芯片上面20%的空间,但是PowerVia背面供电技术节省了这一空间,也意味着互连层可以变得更宽松一些。

根据英特尔PowerVia背面供电技术的官方介绍,英特尔将在Intel 20A(2nm)将是英特尔首个采用PowerVia背面供电技术及RibbonFET全环绕栅极晶体管(RibbonFET是英特尔对GAA晶体管的实现)的节点,预计将于 2024 年上半年实现生产准备就绪,应用于未来量产的客户端ARL平台,目前正在晶圆厂启动步进(First Stepping)。

 

电略显保守

相对于英特尔来说,台积电对背面供电技术的应用更加保守。台积电选择的背面供电实现方法是一种低复杂度的埋入式电源轨,这种技术成功率比较高,因为可以在现有的工具上完成。台积电介绍的3D IC封装技术SoIC,是他们实现背面供电的一个很重要的前提准备。有市场消息称,台积电如期2025年上线2nm制程,2025年下半年在新竹市宝山乡量产,计划2026年推出N2P制程,这个制程将采用背面供电技术。


 ca958ff9ca33eb6f7fb1ce06f86e8f0a.png

 

写在最后

 

真正的背面供电网络的实现伴随着额外的技术复杂性。一方面,背面供电技术需要一种专用的晶圆减薄工艺以及处理将器件晶圆背面电连接到正面的纳米硅通孔的能力。另一方面,实现晶圆背面电源供应需要在晶圆背面添加金属层(背面电极),但背面电极的的加工和设计并不像正面那样简单,由于背面电极和正面电路之间存在物理和电学障碍,因此必须进行详细的物理和电学模拟和设计。此外,背面供电技术还存在调试、散热和设计等方面的技术挑战。

 

为了保持在竞争激烈的半导体市场中的领先地位,英特尔正在领衔出击,三星和台积电则进度稍慢,除了这几个晶圆制造大厂,上游的设备公司也正背面供电技术领域展开研究。所以我们相信在不久的未来能够看到他们克服各种技术挑战,出现更多关于背面供电技术的应用。


想要了解更多电子元器件,敬请访问 http://www.frefront.com/

 

FreFront 作为现货供应商,拥有丰富的半导体和电子元器件型号,并且积极引入原厂新品,支持现货即发。

 

如需了解更多信息,请联系:

 

Phone : 0755-86525052

             18124630447

企业QQ : 2885738164

Email : Sales@frefront.com